VHDL

VHDL 구문(state ment)

전자자연인 2021. 6. 8. 21:21
반응형

● 동시문(Concurrent Statement) & 순차문(Sequential Statement)

 

 

 

● 동시문(Concurrent Statement)

1. 회로의 동작이나 구조를 서술하기 위한 process나 블록 간의 연결상태를 서술하는 용도로 사용

2. 표현 문장의 순서에 상관없이 똑같은 우선순위

3. Simulation을 하면 첫번째 줄의 쓰여진 동작이나 마지막 줄에 쓰여진 동작표현이나 같은 시간에 simulation 가능

4. process문, block문, 병렬신호 배정문, 병렬 주장문, port map등 

 

 

 

● 순차문(Sequential Statement)

1. 일반적인 로직의 순차적 상태를 기술할 수 있는 문장

2. 반드시 앞의 문장이 진행되어야 뒤에 문장이 진행

3. 병렬문의 subprogram과 process문의 수행을 위한 알고리즘을 서술하는 용도

4. wait문, 신호 배정문, if문, case문, loop문, next문, exit문, return문 등

반응형

'VHDL' 카테고리의 다른 글

반가산기 설계 (구조적모델링,자료흐름적모델링)  (0) 2021.06.08
VHDL 의 표현방법  (0) 2021.06.08
VHDL 데이터 형(Data type)  (0) 2019.07.15
VHDL 연산자(opeartor) & 예약어 종류  (0) 2019.07.15
VHDL신호 변수 상수  (0) 2019.07.15