반응형

SOC 9

ART 비동기 통신 UART_TX (Transmitter) UART_RX (RECEIVER)

1. UART 비동기 통신 이란 ● UART(Universal Asynchronous Receiver and Transmitter)란 비동기 전이중 1:1통신 방식이다. ● 동기통신 PS/2와는 다른 점은 비동기 통신은 최대 입력 전압이 ±15V, 최대 출력 전압이 ±25V로 비교적 높은 전압이다. 그래서 동기통신보다 통신거리가 길고, 최대 통신거리는 15m이다. ● 통신속도는 Baud-rate(bau-rate)라 하고, 가변적인 특성을 갖는다. ● UART는 default시 1의 값을 갖으며, START bit(1 bit),Data(8 bit), PARITY(1 bit), STOP(1 bit) 총 11비트로 전송되고, DATA는 최대 8비트가 기본 단위이다. ● RS-232(가장 많이 쓰임) Tranc..

SOC 2021.06.10

ps/2 keyboard DE2보드 동작/ps2통신 키보드 DE2 내용 및분석

4. DE2보드 동작 내용 및 분석 ps/2 keyboard DE2보드 동작/ps2통신 키보드 DE2 내용 및분석 동영상 및 첨부 : https://blog.naver.com/aanrt/221515701168 ■ DE2보드에 내장 되어있는 CLK의 주파수는 50MHz 이므로 ALTPLL을 이용하여 50MHz의 주파수를 100MHz로 만들어준다. ■ ps2_receiver와 ps2_parsing의 VHDL코드를 심볼로 만들어주어 클럭신호와 키보드의 입력 포트 pin를 설정해주고, 입력받은 키의 값을 보기위한 출력포트를 LED에 해당하는 포트에 pin을 설정해준다. ■키보드에서 입력이 들어온 경우 ps2_receiver에서 START,SEND,PARITY,STOP 상태를 거쳐 11비트의 key code 2진..

SOC 2021.06.10

PS/2 동기화통신 ps/2 keyboard VHDL,MODELSIM

첨부파일 : https://blog.naver.com/aanrt/221515655615 1. PS/2 동기화 통신 Personal System/2 인터페이스는 양방향 동기식 통신이 가능한 PC용 port 규격이다. 키보드는 CLOCK과 DATA라인의 값이 1 상태일 경우에 한하여 데이터를 송신할 수 있다. 클록신호는 유효데이터를 지시하기 위한 동기신호의 개념이다. ●키보드에서 키가 눌려지면, 키보드 내부의 원칩 컨트롤러가 DATA(SCAN Code 전송)를 시스템에 보내게 된다. -키를 누를 때는 11bit 하나의 key code (make code)가 발생하고, -키가 떨어질 때는 두 개의 Scan code(break code)가 전송된다 (F0->Key code) -키가 계속 눌려지면 해당 Key c..

SOC 2021.06.09

TestBench연습 2진업다운카운터

■Test Bench : 디자인or모델의 정확성 및 안전성을 확인하는데 사용되는 가상환경. 설계한 디자인(모듈,디자인,회로)의 시뮬레이션을 위해 가상의 입력을 정의 ▶2진 업다운 카운터 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity up_down_counter is port ( clock,reset,up_down : in std_logic; counter : out std_logic_vector(3 downto 0)); end up_down_counter; architecture beh of up_down_counter is signal t_count : std_logic_vector (3 downto ..

SOC 2021.06.09

SOC를 위한 모델심(MODELSIM)설치

https://www.mentor.com/company/higher_ed/modelsim-student-edition ModelSim PE Student Edition ModelSim PE Student Edition is a free download of the industry leading ModelSim HDL simulator for use by students in their academic coursework. www.mentor.com 1.접속후 정보입력(혹시몰라서 학교 웹메일로등록) 2. 메일에 다운로드 할 수 있는 링크가 걸려옴. 3. 설치 4.라이센스를 받기위한 정보 또 입력(1번과 같은건데 다른 창입니다, Request License가 완료창) 5. 라이센스 파일이 메일로옴 6. da..

SOC 2021.06.09
반응형