첨부파일 : https://blog.naver.com/aanrt/221515682160 2-3 ps2 data pack 검사 및 parsing vhdl entity ps2_parsing is port( nRst : in std_logic; clk : in std_logic; ps2_valid : in std_logic; ps2_data : in std_logic_vector ( 7 downto 0) ; valid : out std_logic; data : out std_logic_vector(3 downto 0) ); end ps2_parsing; architecture beh of ps2_parsing is function data_decode(in_data : std_logic_vector(7 dow..